魔鏡歌詞網

關於 ultra 搜尋歌詞 共有 500 個 符合。
這是第 1 至 100 ,請多利用+-空白縮小搜尋結果.如【愛情+代價】【愛情-代價】

專輯 ( 頁面連結 ) 歌名 ( 頁面連結 )( 部分歌詞 )
1 2.NEVER LEAVE U 善凝聚在一起 we were like ultralight beem散發著光芒照亮著迷霧為後人看清一代一代前仆後繼也許無法阻止這場浩劫大概是因為歷史的侷限性我不再眉頭緊鎖當我回歸我自己我選擇停留在當下不再過去
2 1.高不可攀 PSY.P-ULTRA 超1.高不可攀作詞 PSY.P作曲 PSY.P TQ pablo編曲 TQ Pablo16年跟兄弟們衝出了亞洲把專場都開到Chicago走之前
3 2.圈點兒 PSY.P-ULTRA 超2.圈點兒作詞 PSY.P作曲 PSY.P TQ pablo編曲 TQ Pablo跟兄弟夥準備圈點兒錢來用非常容易比啞鈴都還重我的LV包裝滿
4 3.謙卑高調 PSY.P-ULTRA 超3.謙卑高調作詞 PSY.P作曲 PSY.P TQ編曲 TQ有時候有時候有時候有時候有時候謙卑有時候高調有時候謙卑有時候高調有時候謙卑有時候
5 4.德芙巧克力 PSY.P-ULTRA 超4.德芙巧克力 feat.馬思唯作詞 PSY.P、馬思唯作曲 PSY.P、馬思唯、TQ編曲 TQ要搞就搞徹底我能完爆了你馬上就後悔來到這裡

6 5.裡面 PSY.P-ULTRA 超5.裡面 feat. Melo作詞 PSY.P Melo作曲 PSY.P Melo TQ G23編曲 TQ G23浮誇是形容我的語言把你帥哭
7 6.西南根據地 PSY.P-ULTRA 超6.西南根據地作詞 PSY.P作曲 PSY.P TQ編曲 TQ我越來越好追不上我的腳步像草原上奔跑的獵豹桐梓林街道有任何風吹草動我們馬上就能
8 7.是我 PSY.P-ULTRA 超7.是我 feat. MULA SAKEE作詞 PSY.P Mula Sakee作曲 PSY.P Mula Sakee TQ Duhu編曲
9 8.陷阱張學友 PSY.P-ULTRA 超8.陷阱張學友 feat. KIV作詞 PSY.P KIV作曲 PSY.P KIV TQ Pablo編曲 TQ Pablo老子們總是熱門的話
10 9.惹的是哪個(Interlude) PSY.P-ULTRA 超9.惹的是哪個(Interlude)作詞 PSY.P作曲 PSY.P TQ編曲 TQ想清楚你娃頭兒惹的是哪個披上外套我就是主角我們出現的時間
11 10.茅臺 PSY.P-ULTRA 超10.茅臺作詞 PSY.P作曲 PSY.P VLOT MINO Glokmane編曲 VLOT我只喝茅臺茅臺我只喝茅臺因為它夠烈我甩了你幾條
12 11.吸血鬼 PSY.P-ULTRA 超11.吸血鬼作詞 PSY.P作曲 PSY.P我像吸血鬼我像吸血鬼總是活在夜裡我像吸血鬼總是活在夜裡我像吸血鬼總是活在夜裡我像吸血鬼總是活在夜
13 12.石頭心 PSY.P-ULTRA 超12.石頭心作詞 PSY.P作曲 PSY.P TQ編曲 TQ什麼能讓我著迷我很好奇都知道我的眼光太高了非常挑剔一隻手AP一隻手勞力已經沒有什
14 13.我不想 PSY.P-ULTRA 超13.我不想 feat. KnowKnow作詞 PSY.P KnowKnow作曲 PSY.P KnowKnow我不想看到你穿的性感只想看你穿
15 14.就讓我 PSY.P-ULTRA 超14.就讓我作詞 PSY.P作曲 PSY.P TQ編曲 TQ就讓我一個人去承受這結果就讓我一個人什麼都別說就讓我一個人送給你個解脫就讓我一個
16 15.為什麼不是我們 PSY.P-ULTRA 超15.為什麼不是我們作詞 PSY.P作曲 PSY.P TQ編曲 TQ聽說你已經愛上他也許他真的比我還好差不多該放下我想知道為什麼不是我們我們
17 16.Tokyo Love PSY.P-ULTRA 超16.Tokyo Love作詞 PSY.P作曲 PSY.P TQ編曲 TQ還是你慣用的口氣又說了幾句emo shit天氣預告今天有雨我想離開
18 1.Prospect Remix 愛聲存(北京)文化傳媒有限公司果然娛樂 Ultra Empire Music(BMI) o b o Wheezyhitmaker(BMI) KBeaZy Ventures LLC PreScription So
19 1.Marriott Scent eminding me of Your ultraviolence my superache Apple of my eye I fell for you at first sight Not knowing
20 1.廟口的花燈 演奏家他砸了破木吉他換了只fender ultra reality總是令人這麼痛苦是愛是恨糾纏的讓你不停尋找痛處看破了紅塵變沒感情的肉食動物醒一醒bae他怎麼會給你時間空出永恆的熱戀到底是否真的會發生你牽著他的

21 5.The Solar Corona(日冕) feel the ultra violence Lay down! Your body To kiss the Rusty land Hang over Your soul Losing gravity Maxwell come in come in i know you outt ... ee down Your legs To feel the ultra violence Lay down! Your body To kiss the Rusty land Hang over Your soul Losing gravity Maxwell Solar Corona Mammary areola tac ... ee down Your legs To feel the ultra violence Lay down! Your body To k
22 2.狂人街(MadStreet) Ultra-ULTRA WORLD2.狂人街(MadStreet)作詞 ... et)作詞 ULTRA、XannyDaddy作曲 ULTRA、XannyDaddy We start it from MadStreet爲了迎接勝利 Never be the broke ass so all of my soldier原地待命發動機V8開啟Beast mode所有困難輕易擺平實在受夠了我沒有耐心 ... tart it for Madstreet*N Verse-ULTRA全都是勇士整個Madstreet我們統治 never die是我們的宗 ... 擦擦鞋子 Hook-ULTRA We start it from mad street
23 3.KINGG Ultra-ULTRA WORLD3.KINGG作詞 ULTRA作曲 ULTRA I dripping and pay every sh like m ... 追用力地追拼命的追 Ultra world往你的耳膜裡侵略 jus taking your soul ... soul大腦裡植入了Ultra的晶片 fking want more真正好的音樂應該被更多人聽見
24 4.教父(The Godfather) Ultra-ULTRA WORLD4.教父(The Godfather)作詞 ... er)作詞 ULTRA作曲 ULTRA取樣來自電影《教父3》 i need nobody cuz mone
25 5.野草(Wildhood) Ultra-ULTRA WORLD5.野草(Wildhood)作詞 ... od)作詞 ULTRA、Me1oN多米諾、VFLYINTHESKYULTRA、Me1oN多米諾、VFLYINTHESKY作曲 ... NTHESKY作曲 ULTRA、Me1oN多米諾、VFLYINTHESKY強韌像野草烈火也燒不完任憑 ... 精英部隊我單刀赴會@ULTRA單刀赴會換榮華富貴出生於沼澤我本無畏生來爲了解決那些鼠輩這朵花不可能枯 ... 經顯現被我一拳KO@ULTRA傳奇被刪減化成熾烈的風
26 6.MURDER IT FREESTYLE Ultra-ULTRA WORLD6.MURDER IT FREESTYLE ... YLE作詞 ULTRA作曲 ULTRA how can I murder it boy在我面前twxxki
27 7.皇家禮炮(Royal F#%K) Ultra-ULTRA WORLD7.皇家禮炮(Royal F#%K)作詞 ... %K)作詞 ULTRA作曲 ULTRA pus u leave me alone jus leave me alone i dont fu with the rotten ho井底之蛙都在仰著頭你們的生活也和我不同 young artist always be lone我burning fi ... my verse hot like pablo young ultraman不為ho發愁 weak pus別想來跟我掛鉤角落的卡座你喝的是
28 9.SKIIIIT Ultra-ULTRA WORLD9.SKIIIIT作詞 ULTRA作曲 ULTRA與爺爺的對話(嵊州話)-不讀書你就去種樹去掃地啊搞衛生這種活-我如果不 ... 的-我就喜歡一個人 ULTRA這東西其實我覺得就跟賣東西一樣對吧比如說我現在做歌就像我現在開了個商店 ... …對…就這樣..嗯 ULTRA I NEVER NEVER NEVER BROKE AGAI
29 10.失樂園(Paradise Lost) Ultra-ULTRA WORLD10.失樂園(Paradise Lost) ... st)作詞 ULTRA作曲 ULTRA只想抓住現在不想去管以後 long way sh架在脖子上的匕首奈何
30 11.LUVTRAP Ultra-ULTRA WORLD11.LUVTRAP作詞 ULTRA作曲 ULTRA明知是個坑我也只能踩進去不再分辨你說的多真老子不想整的太抑鬱我只在乎
31 12.分開那陣時(When U Leave) Ultra-ULTRA WORLD12.分開那陣時(When U Leave)
ULTRA、KIV作曲 ULTRA、KIV晚上會想很多是你不在我身邊讓我想逃離對你像著了魔但愛不再是魔鬼與天使的交易愛不是給心上把鎖 romantic in my phantom do you wantmore除你之外誰會讓我驚慌失措只能怪是丘位元闖下的禍 copy我的愛複製給誰有成就感 ... right now lemme kiss ur lips@ULTRA晚上會想很多是你不在我身邊讓
32 13.失眠夜(Dont Wanna Sleep) Ultra-ULTRA WORLD13.失眠夜(Dont Wanna Sleep)< ... ep)作詞 ULTRA作曲 ULTRA今夜為什麼又失眠負面情緒模糊我的視線太多困擾留在你我之間像把利刃劈開
33 14.血腥瑪麗(Bloody Mary) Ultra-ULTRA WORLD14.血腥瑪麗(Bloody Mary)作 ... ry)作詞 ULTRA、璿子RealYoung作曲 ULTRA、璿子RealYoung devil的vocal you have o ... 你眼睛 Verse-ULTRA鮮x來吞噬我沒什麼本事追問你身世燈紅又酒綠的煙霧在瀰漫逐漸掩蓋了真實 too dark讓我先喘口氣面對你我就失去了戰鬥力不停的falling我快落地你獨有的親和力妖言和媚語不需要拼湊問我any question我都回答bingo make my hear ..
34 15.羅曼蒂克(Romantic) Ultra-ULTRA WORLD15.羅曼蒂克(Romantic)作詞 ... ic)作詞 ULTRA作曲 ULTRA I can see the sunlight i love thi
35 1.性經 Ultrapink-暫存1.性經作詞周耀輝作曲 Mo@My Funfair編曲梁偉樂監製梁偉樂將不敢看的將不敢聽的聚集在一本叫正經偏偏想掂的偏想試的律例若
36 2.粉紅色的一生 Ultrapink-暫存2.粉紅色的一生作詞Édith Piaf.鄭國江作曲 Marguerite Monnot.Louis Guglielmi編曲梁偉樂
37 2.Ultra Snazzy Blues azzy2.Ultra Snazzy Blues作詞 GReeeeN・鈴木雅之作曲松 ... す飽かなく続いてく Ultra Snazzy Bluesきっと強気な俺ひとりだけ君を守るそう信じてた ... うやって生きてゆく Ultra Snazzy Blue
38 2.Desire stop baby Ultra zone見せてあげるよ Fly away Unstoppable D ... h切り開いていこう Ultraな未来へGo Unstoppable Desir
39 2.Desire(Anime Size) めない Never stop baby Ultra zone見せてあげるよ Fly away Unstoppable Desir
40 3.UltraPOP すように3.UltraPOP作詞ねたろ作曲ねたろあなたがいればPOP隣にいるだけで幸 ... の元に訪れる Ah ULTRA POP LOVE YOU...踊ろうよ僕ら銀河の中で甘い苦い思いもす ... るぜBABY Ah ULTRA POP LOVE YOU...僕らポップスター幸せをあげる朝になる
41 2.ULTRA HIGH-Returns カナタヘ2.ULTRA HIGH-Returns作詞 LAZY作曲 LAZY闇を切り ... 飛べ飛べもっと高く Ultra Love&Peace愛と平和を守るため Oh Ohダイナどこまでも ... hダイナどこまでも Ultra Ultra Ultra High! Ultra Ultra Ultra汚れなき瞳ダイナ映しだす夢は Shiningはるかなる夢さがし続ける光 ... のパワー胸に秘めて Ultra Love Never Dies強い相手に出会うほど Oh Ohダイナ
42 1.インクルージョン feat.びす Lanndo-ULTRAPANIC1.インクルージョン feat.びす作詞ぬゆり作曲ぬゆり数多かける詮の無い調べ始まりは何時も火の立たぬ所きりのないときめきは流されて当
43 2.クレイ feat.七滝今 Lanndo-ULTRAPANIC2.クレイ feat.七滝今作詞ぬゆり作曲ぬゆり分かんないよ新たな希望なんて考えはどうせ何度も擦られる体がまだ声に追いつかない大人なの
44 3.心眼 feat.須田景凪 Lanndo-ULTRAPANIC3.心眼 feat.須田景凪作詞ぬゆり作曲ぬゆり探してる探してる未来を知っていくきっとまだまだ届かない寄る辺ない僕らの歌を届かない思い
45 4.実行中毒 feat.びす Lanndo-ULTRAPANIC4.実行中毒 feat.びす作詞ぬゆり作曲ぬゆり足りない間貴方の境目絡み合う何か危険な世界が陰ろうか照るか視界を濡らすか終わりなき戦噛

46 5.全部 feat.びす Lanndo-ULTRAPANIC5.全部 feat.びす作詞ぬゆり作曲ぬゆり散々でしょこんな夜に寂しいんでしょずっと感じてる災難でしょもうこんな風に分からないのが恋し
47 6.トーキョーハウンド feat.七滝今 Lanndo-ULTRAPANIC6.トーキョーハウンド feat.七滝今作詞ぬゆり作曲ぬゆり天井は遠く放たれていても、最果ては決まっている。障壁が控えている。本当はど
48 7.青く青く光る feat. ACAね(ずっと真夜中でいいのに。)ぬゆり Lanndo-ULTRAPANIC7.青く青く光る feat. ACAね(ずっと真夜中でいいのに。)ぬゆり作詞ぬゆり作曲ぬゆり続いてる道をなぞる手足が暗い夜の切っ先へ導
49 8.仇なす光 feat. Reol Lanndo-ULTRAPANIC8.仇なす光 feat. Reol作詞ぬゆり作曲ぬゆり災禍の生で泣いている僕にずっと光は当たらないばかりで清々するほど何にも無くなって
50 9.冬海 feat.須田景凪 Lanndo-ULTRAPANIC9.冬海 feat.須田景凪作詞ぬゆり作曲ぬゆりさんざめいたこの波の先はまだ長く未だ渦巻いている全身を濡らしながら貴方だけを想っている
51 10.宇宙の季節 feat. Eve suis(fromヨルシカ) Lanndo-ULTRAPANIC10.宇宙の季節 feat. Eve suis(fromヨルシカ)作詞ぬゆり作曲ぬゆり最初からもう間に合わない場所に居たんだ遠い日の模
52 11.さいはて feat.キタニタツヤ Lanndo-ULTRAPANIC11.さいはて feat.キタニタツヤ作詞ぬゆり作曲ぬゆり忙しいんだよ全て今日を明日へ連れ去っていくようにこれ以上に零さないように道を
53 12.ロウワー feat.ぬゆり Lanndo-ULTRAPANIC12.ロウワー feat.ぬゆり作詞ぬゆり作曲ぬゆりそう簡単な祈りだった端から段々と消える感嘆今から緞帳が上がるから静かな会場を後にさ
54 1.Ultramarine Sky-瑠璃色の空- 勇気ちひろ-Ultramarine Sky -瑠璃色の空-1.Ultramarine Sky-瑠璃色の空-作詞伊藤和馬(Arte R
55 2.Raspberry 勇気ちひろ-Ultramarine Sky -瑠璃色の空-2.Raspberry作詞めんま作曲めんま視線の先に気づいてるでしょ?目と目が合えばトキメく感情気のない素振
56 11.+ULTRA ST11.+ULTRA作詞 HAZUKI作曲 HAZUKI完全犯罪喰らっちまって感電 ... だ壮観だ喰らえさあ+ULTRA愛情にBLACKを混入して NO NO NOその先へ YOU CAN' ... だ壮観だ喰らえさあ+ULTRA愛情にBLACKを混入して NO NO NOエゴイスティック傍観者くだ ... だ壮観だ喰らえさあ+ULTRA愛情にBLACKを混入して NO NO NOその先
57 2.SOUL TRIVE いいさなってみたい未来を夢に描け SO ULTRA!無限大の中心はYou are! Smileなんて眩しいくらいさ真っ向から挑めば無敵なんじゃない? Stand upキブンブン上げてこう!スカッとしようぜ真っ直
58 1.Bursty Greedy Spider 鈴木このみ-ULTRA FLASH1.Bursty Greedy Spider作詞草野華余子作曲草野華余子響くサイレン My name is'Tiny Spider'
59 2.ULTRA FLASH 鈴木このみ-ULTRA FLASH2.ULTRA FLASH作詞草野華余子作曲鈴木このみ・草野華余子始まりをサ ... 焼き付けたいの輝け ULTRA FLASH!!!始まりのメロディ色褪せないフィルム記憶を彩る大切な軌 ... き付けてゆこう輝け ULTRA FLASH!!
60 3.ダメージ小でした 鈴木このみ-ULTRA FLASH3.ダメージ小でした作詞野性爆弾くっきー!作曲篠崎あやと・橘亮祐ミジンコくらい鬼みみちぃ御心ゴミカスでご苦労さん咀嚼ボロボロ無気力下
61 4.Missing Promise 鈴木このみ-ULTRA FLASH4.Missing Promise作詞 hotaru作曲半田翼遠ざかりはじめたその背中はもう振り返らない長い夜が明けても過ちと罪は今
62 5.Glorious Day 鈴木このみ-ULTRA FLASH5.Glorious Day作詞鈴木このみ作曲鈴木このみ・今井了介 Are you ready? tough and rough!始
63 6.HELLO 鈴木このみ-ULTRA FLASH6.HELLO作詞 Kanata Okajima作曲 Kanata Okajima・Teje瞬きしてる間に奪ってあげるからもう離さな
64 7.PROUD STARS 鈴木このみ-ULTRA FLASH7.PROUD STARS作詞 hotaru作曲半田翼誰もが皆同じ嵐の中荒れ果てたその先に何を見るの今もまだ震えそうなその瞳でなぜ進
65 8.舞い降りてきた雪 鈴木このみ-ULTRA FLASH8.舞い降りてきた雪作詞中島卓偉作曲中島卓偉始めようとしてもふいに心揺れ動き肝心なところでいつも躊躇ばかりして冷たい風が運んで来る冬
66 9.Theater of Life 鈴木このみ-ULTRA FLASH9.Theater of Life作詞 ANCHOR作曲 ANCHOR例えるのならこの世界はそう同じ色と音で描くモノクロのサイレント
67 10.命の灯火 鈴木このみ-ULTRA FLASH10.命の灯火作詞草野華余子作曲草野華余子漆黒の闇傾く砂時計頼りなく儚い命の灯火(ひ)失意の先に辿り着いたたったひとつだけ確かな、鼓
68 11.Realize 鈴木このみ-ULTRA FLASH11.Realize作詞篠崎あやと・橘亮祐作曲篠崎あやと・橘亮祐歩き続けた'今'を消しては見ないように塞いだ'過去'切り捨てられてし
69 12.メロディックロードムービー 鈴木このみ-ULTRA FLASH12.メロディックロードムービー作詞ヒゲドライバー作曲ヒゲドライバー冷たい風辺りはまだ静かな夜明け踏み出せずに留まることが何より怖か
70 13.Ordinary Wish 鈴木このみ-ULTRA FLASH13.Ordinary Wish作詞鈴木このみ作曲伊藤聡太ねぇたった一つだけ心満たす日をいつも願ってたねぇ一人でも平気だとそう思って
71 2.BED CHUTE!! び乗れぶっちぎって ULTRA SPEED UP突っ切って< FREEZE! HOLD UP!追い込 ... び乗れぶっちぎって ULTRA SPEED UP突っ切って< FREEZE! HOLD UP!映画の ... び乗れぶっちぎって ULTRA SPEED UP突っ切って FREEZE! HOLD UP!>蹴散ら ... び乗れぶっちぎって ULTRA SPEED UP突っ切って FREEZE! HOLD UP!>映画
72 1.seek ultra feat.環ROY& Le Makeup APE-seek, ultra1.seek ultra feat.環ROY& Le Makeup作詞環ROY・Le
73 3.the ultra golden brave Busters al fanfare3.the ultra golden brave Busters作詞平田山崎作曲平田山崎あくまで真面目にふざけて賢くありつつイカれて勘違いがんじがらめになってでも関係なく転が
74 1.NEVER DIE(feat. MIGHTYJAM ROCK) イヤ人今時期金色見たら The End ULTRA7生けるレジェンド俺はいつでもどこでも I'm ready誰に邪魔されようがする相手にそれが神でもお上でも塞いでみる Nobody can't stop貫くスタ
75 1.ULTRA MARINE SWALLOW-ULTRA MARINE1.ULTRA MARINE作詞工藤帆乃佳作曲工藤帆乃佳悲しみも溶けるほど
76 1.ULTRA oot Works-ULTRA1.ULTRA作詞 Pecori作曲 ODD Foot Worksウルトラマンよりライダー派ジュラ紀から令和過去の俺に問いたい幸せの解答眺める平和音楽で生きる生活カリスマみたいなすぐ枯れる才能5歳の俺は見た空を飛ぶライダーまばたきの間それはもう消える騙されてい ... いた喉から漏れる産声 Another world with ULTRA LOVE Is goneいずこあなたにあげるName lego埋も
77 2.KEANU ODD Foot Works-ULTRA2.KEANU作詞 Pecori作曲 Tondenheyあの赤い信号機が青に変わらぬまま空の色が変わった俺がKEANUだったら君はその声が俺のこ
78 3.Papillon ODD Foot Works-ULTRA3.Papillon作詞 Pecori作曲 Tondenhey Say you want to fly baby俺はしたいのにどうせ君は首を振る
79 4.浪漫飛行機 ODD Foot Works-ULTRA4.浪漫飛行機作詞 Pecori作曲 SunBalkan飛ぼうとしてるよずっと空を跨ぐ飛行線にcall meどう? yo flightまではfa
80 1.Sight Over The Battle(Short Size) location(how do you Ultra Mystery?)願いは届くはずと握り締めた hear my soul clenched my fistどうして争いは続いてきたんだろう君の手は救いだけ求めて
81 2.Core Fade(Anime Size) も気付けなくて開かれた(Take me ultra fight to the end)何もかも重なる未来へ立ち上がれ(Get your ultimate where are you now?)戦いは避けられず「
82 7.ULTRAJOY LAND7.ULTRAJOY作詞玉屋2060%作曲玉屋2060% Circle Music ... 玉屋2060%作曲玉屋2060% Circle Music ULTRAJOYJoy andJoin usハローグーデンタークズドゥラストゥヴ ... join us世界中ULTRAJOY FOR YOUボアタールジャンボミンラーバーハイサイカリメーラ ... join us世界中ULTRAJOY FOR YOU Circle Music ULTRAJO
83 1.Core Fade た(Take me ultra fight to the end)何もかも重なる未来へ立ち上がれ(G ... た(Take me ultra fight to the end)開くべき重厚な扉を目を逸らさずに( ... た(Take me ultra fight to the end)何もかも重なる未来へ立ち上がれ(
84 2.風に鳴れ Pulse Factory-ULTRANOVA2.風に鳴れ作詞 Masaki作曲 Masaki何を望むだろう旅路の終わりに手にしては消える無情な痛み見過ごした終着駅はもう降りれない風
85 3.BRITOM Pulse Factory-ULTRANOVA3.BRITOM作詞 Masaki作曲 Masaki PA PA LA...雑踏に駆ける独り言靄燃やせ気付けよ liar liar街灯弾
86 4.希 Pulse Factory-ULTRANOVA4.希作詞 Nobu作曲 Yussan覚悟を示せ己の為に大志を抱け希の為に意識を得る其の刹那から既に始まる生命を豊かにせんと燃える息を奪
87 5.WRAP AROUND Pulse Factory-ULTRANOVA5.WRAP AROUND作詞 Nobu作曲 Nobu It's like a joker.見切られるPoker Face. Oh no
88 6.isolation Pulse Factory-ULTRANOVA6.isolation作詞 Nobu作曲 Nobu Going away in black rain. I'm just running
89 7.Oh My God!! Pulse Factory-ULTRANOVA7.Oh My God!!作詞 Nobu作曲 Yussan Hold onさぁ戦いだ誰しもきっと抱えてることでしょうこれがKeep on
90 8.Sky's the Limit Pulse Factory-ULTRANOVA8.Sky's the Limit作詞 Nobu作曲 Masaki Baby Hands up. You can try to take
91 9.CHAPTER SONG Pulse Factory-ULTRANOVA9.CHAPTER SONG作詞 Masaki作曲 Masakiお気に入りの服を着てきて欲しいから生憎の天気はご退場願おうちょっと待って
92 1.腐敗蜜柑 em'FUHAI' ultra violence Shakin up stability Keep on saying all you want We're all in this DEATH GAME Clear inequality equality we hear from t ... t we all rot Like them'FUHAI' ultra violence ROTTEN TO THE CORE still
93 7.Footwork BLE BREAKIN' OR DIE ULTRA BRA1Nいつでも乗り越える TREFOILテストよりも ORIGINAL QUESTキックワンバース R-GROOVERS YELLOW SUNSかます O
94 1.Footwork BLE BREAKIN' OR DIE ULTRA BRA1Nいつでも乗り越える TREFOILテストよりも ORIGINAL QUESTキックワンバース R-GROOVERS YELLOW SUNSかます O
95 6.Lady Bird laser beam(We on an ultralight beam!) No need to worry babe(Even if they come for ya!) Red dots on my bod
96 5.Sight Over The Battle location(how do you Ultra Mystery?)願いは届くはずと握り締めた hear my soul clenched my fistどうして争いは続いてきたんだろう君の手は救いだけ求めて
97 10.Smells Like40 Spirit kes me feel like an Ultraman Trying to be braveメローイエロー吉田はエンペラーポケベルファミコンビックリマンウーパールーパーゴールドライタンミニ四駆バタリアンキンケ
98 7.Calculated 言及は野暮と化す This is my ultra notes I wrote No one can turn the page and steal itワァアアアアアアァァァ!!!!!!全部大丈夫小数点第二位
99 1.HERO 加藤和樹-Ultra Worker1.HERO作詞加藤和樹作曲河田貴央どこまでも続いてゆくそれぞれの道のど真ん中ですれ違っていく人たちは皆何を探し彷徨う近道はないっ
100 2.Ultra Worker 加藤和樹-Ultra Worker2.Ultra Worker作詞加藤和樹作曲鈴木賢二眠たい目を擦りながらア

最多只能顯示前 100 項,請多利用+-空白縮小搜尋結果.如【愛情+代價】【愛情-代價】